‏إظهار الرسائل ذات التسميات arduino. إظهار كافة الرسائل
‏إظهار الرسائل ذات التسميات arduino. إظهار كافة الرسائل
الخميس، 16 أكتوبر 2014

Digital Inputs الادخال عبر منفذ الاردينوا

int ledPin = 5;
int buttonApin = 9;
int buttonBpin = 8;

byte leds = 0;

void setup() 
{
  pinMode(ledPin, OUTPUT);
  pinMode(buttonApin, INPUT_PULLUP);  
  pinMode(buttonBpin, INPUT_PULLUP);  
}

void loop() 
{
  if (digitalRead(buttonApin) == LOW)
  {
    digitalWrite(ledPin, HIGH);
  }
  if (digitalRead(buttonBpin) == LOW)
  {
    digitalWrite(ledPin, LOW);
  }
}

الأحد، 29 يونيو 2014

Control any device through computer

الحمد لله قد تحقق احد الافكار و هو السيطرة على الاجهزة كهربائية تعمل ب 220 فولت من خلال الحاسوب عبر برنامج من تصميمي ( اول برنامج لي بواجهة رسومية ^_^)   حيث يمثل بداية لتطبيقات smart home ...




لمشاهدة الفديوا :


الأحد، 27 أبريل 2014

example (nand,or and xor) in vhdl

NAND:-
library IEEE;
use IEEE.std_logic_1164.all ;
entity NANDGATE is
 port (a : in std_logic;
b : in std_logic;
x : out std_logic ) ;
end entity NANDGATE ;
architecture RTL of NANDGATE is
begin
 x<=a nand b;
end architecture RTL ;

OR:-
library IEEE;
use IEEE.std_logic_1164.all;
entity or is
port ( a : in std_logic;
b: in std_logic;
z: out std_logic ) ;
end or ;
architecture dataflow of or is
begin
z<= a or b;
end dataflow;

XOR:-
library IEEE;
use IEEE.std_logic_1164.all;
entity xor is
 port( a: in std_logic;
b:in std_logic;
z:out std_logic );
architecture dataflow of xor is
beginz<= [a and (not b) or (not a) and b ] ;
end dataflow ;

تعريف VHDL

VHDL is a hardware description language . it describes the behavior of an electronic circuit or system , from which the physical circuit or system can then be attained (implemented) .

once the vhdl code has been written , it can be used either to implement  the circuit in a programmable device (from Altra,Xilinx ,Atmel , etc)  or can be submitted to foundry for fabrication of an ASIC chip . Currently , many complex commercial chips (microcontrollers , for example) are designed using such an approach .

Basic VHDL Code:-

library declarations is To declare a Library (that is to make visible to the design) two lines of code are needed , one containing the name of the library , and the other a use clause , as shown in the syntax below .
LIBRARY library_name ;USE library_name.package_name.package_parts;


ENTITYentity is a list with specifications of all input and output pins (ports)of the circuit . its syntax is show below.
ENTITY entity_name is port (port_name: signal_mode signal_type;port_name: signal_mode signal_type;...... ) ;end entity_name;

architecture the architecture is a description of how the circuit should behave (function) . its syntax is the following:
ARCHITECTURE architecture_name of entity_name is [declaration] BEGIN (Code)end architecture_name;



الجمعة، 7 مارس 2014

ما هو الاردينوا ؟

هو عبارة عن لوحة الكترونية مفتوحة المصدر open hardware قابلة للبرمجة  لتطوير الكثير من الافكار و المشاريع المتعلقة بالتحكم الالى بصورة سهلة و بسيطة عن طريق لغة البرمجة كما فعلت بالتحكم بالسيارة في مشروعي (يمكنكم مشاهدته اضغط هنا)
للحصول على تفاصيل اكثر يمكنكم قرأة هذا الكتاب الرائع الذي يحتوي على نبذة مختصرة عن الاردينوا


يمكنكم تحميل الكتاب من الرابط :- Simply Arduino
انتظر 5 ثواني ثم skip
الخميس، 6 فبراير 2014

تصميم عداد او ساعة الكترونية بسيطة باستخدام الاردينوا

السلام عليكم و رحمة الله و بركاته 

الفكرة مأخوذة من رؤية الساعة الكترونية حيث اصبح بامكان تصميم ساعة الكترونية بنفسك من خلال برمجة لوحة الكترونية القابلة للبرمجة (الاردينوا) مع بعض توصيلات (Wire) و الضوء (LED) ... الفكرة لم يتطرق اليها سابقا او لو يسبق لي رؤيتها اذا جميع حقوق النشر محفوظة لمدونة احمد تكنو =D  ههههههه  :P

كما موضح بالفديوا :-




يمكن ترك تعليق اسفل التدوينة للاستفسار و اجابة على اسئلتكم قدر المستطاع

الاثنين، 27 يناير 2014

تشغيل الدايود الضوئي بشدة متغيرة باستخدام analog output

int analogValue = 0;
void setup(){
  pinMode(11,OUTPUT);
}
void loop(){
  analogWrite(11,analogValue);
  analogValue++;
  if(analogValue > 256)
  analogValue=0;
  delay(10);
}

تشغيل دايود الضوئي من خلال طباعة حرف معين

void setup()
{
  Serial.begin(9600); // speed serial
  pinMode(13,OUTPUT); // port output
}
void loop(){
  if(Serial.available() > 0) // exam memory
  {
  char readchar = Serial.read();
  Serial.print("arduino says: ");
  Serial.println(readchar);
  if(readchar == 'n')
  {
    digitalWrite(13,HIGH);
    Serial.println("led is on");
  }
  else if(readchar =='f')
  {
  digitalWrite(13,LOW);
  Serial.println("led is off");
  }
}
}

ارسال بيانات وارستلام عبر سيريال

int x;
void setup()
{
  Serial.begin(9600);  
  Serial.println("hello");
 x=0; 
}
void loop()
{
 x++;
delay(500); 
Serial.print(x);
}

ابسط مثال لتشغيل و أطفاء الديواد الضوئي في مايكروكنترول اردوينو

void setup()
{
  pinMode(13,OUTPUT);
}
void loop()
{
  digitalWrite(13,HIGH); // المنفذ و الاضاءة ب 5 فولت 
  delay(1000);   // الزمن الاضاءة
  digitalWrite(13,LOW);   // المنفذ و الاطفاء 
  delay(1000);  
}